Filtro Pasa Bajos HF para 40 y 80 metros, 5 polos (primer intento)

(Low pass filter for 80 and 40 meters HF bands – 5 poles, first try)

Un amplificador de potencia ideal sería aquel en que, para una señal de entrada dada, la señal de salida tiene una forma de onda tal que se puede expresar de manera exacta a través de la multiplicación de un valor escalar por la señal de entrada. Sin embargo, los amplificadores tienden a inducir distorsión en la señal de salida, generando espurias por intermodulación (presentes cuando se aplica más de una frecuencia de entrada al amplificador de potencia) y componentes armónicas (múltiplos enteros de la frecuencia fundamental – portadora -).

Estas distorsiones se deben a no linealidades en el comportamiento del amplificador, causadas por: no linealidades en la respuesta amplificadora de los dispositivos semiconductores – MOSFET o BJT -, saturación del amplificador, componentes comportándose en forma asimétrica en ambos semiciclos de la señal de entrada, saturación de transformadores de RF o choques, etc.. Amplificadores tipo push-pull (o en contrafase) tenderán a generar menos distorsión debido a la simetría en su operación, versus aquellos que poseen un único dispositivo semiconductor de salida.

Ahora bien, como no existe el amplificador ideal, en nuestros equipos transmisores necesariamente debemos implementar filtros que mitiguen estas espurias. A continuación un primer intento en la construcción de filtros pasa bajos para transmisores en la banda de 40 y 80 metros (HF).

Vamos a nuestro primer intento de filtro para las bandas de 80 y 40 metros. Simulaciones en RFSIM.

El diseño para 40 metros está basado en el filtro de 5 polos que utiliza el kit ILER 40. Para 80 metros, se mantuvieron las mismas reactancias capacitivas en inductivas del filtro anterior, pero calculadas para esta banda, resultando en la multiplicación en un factor x2 de capacitores e inductores (los condensadores de 940pF los consideré de 1nF) . Utilizaremos la herramienta RFSIM para simular el comportamiento de estos circuitos.

Figura 1. Filtros a simular en RFSIM.

En las gráficas siguientes tenemos escala lineal en el eje X, correspondiente al eje de la frecuencia, mostrando el rango comprendido entre 1 kHz y 30 MHz. En el eje Y, tenemos escala logarítmica (dB), donde en rojo apreciamos el parámetro de transmisión S12 (o S21 – es simétrico, pues dá lo mismo cuál puerto es entrada o salida en estos filtros pasivos, con igual impedancia característica en ambos puertos -) y en azul el parámetro de reflexión S11 (o S22, por la misma razón recién mencionada). Estos parámetros dependen de la frecuencia y corresponden a la proporción (expresada en dB) de la potencia que pasa a través del filtro (S12) o la que se refleja (S11), respecto de la potencia total inyectada al filtro.

Figura 2. Respuesta simulada del filtro para 40 metros. Click para aumentar imagen.

De acuerdo a la figura 2, El filtro para 40 metros tiene respuesta prácticamente plana para el rango de frecuencias comprendido entre 6 MHz y 8.5 MHz, con atenuación de transmisión S12 inferior a 0.25 dB y parámetro de reflexión inferior a -15 dB. La frecuencia de corte (-3 dB) está a 9.9 MHz. A 14 MHz tenemos atenuación del orden de 25 dB, a 21 MHz de 44 dB y a 28 MHz de 58 dB. Bastante bien.

Figura 3. Respuesta simulada del filtro para 80 metros. Click para aumentar imagen.

Por otro lado, el filtro para 80 metros tiene respuesta prácticamente plana para el rango de frecuencias comprendido entre 3 MHz y 4.2 MHz, con atenuación de transmisión S12 inferior a 0.05 dB y parámetro de reflexión inferior a -20 dB. La frecuencia de corte (-3 dB) está a 5 MHz. A 7 MHz tenemos atenuación del orden de 25 dB, a 10.5 MHz de 44 dB y a 14.5 MHz de 60 dB. Muy bien.

Y ahora, a construir.

Entre mis componentes tengo algunos toroides tipo T130-2, adecuados para trabajar hasta 10 MHz. Con estos toroides podemos construir filtros que soporten 500W P.E.P. sin problemas. También encontré condensadores cerámicos de alto voltaje (1 kV) de diversos valores. Si bien este tipo de condensadores no es ideal para aplicaciones en RF, por presentar pérdidas no despreciables en dieléctrico al operar en el rango de las radiofrecuencias y por la alta dependencia de su capacitancia con la temperatura, los voy a utilizar de todas maneras a modo de ensayo. La idea es siempre aprovechar lo que hay a mano para experimentar.

El circuito se muestra a en la figura 4.

Figura 4. Circuito con filtro pasa bajos para bandas de 80 y 40 metros, de 5 polos.

El filtro requiere alimentación 13.8 VDC, y consume del orden de 30 mA, dados por la corriente del bobinado del relé activo y LED indicador de banda.

En la foto 1 vemos una vista general de esta primera versión de filtro pasa bajos bi-banda, para 80 y 40 metros. Está armado sobre un PCB pre-perforado, dejando las mayor parte de las conexiones a través de conductores soldados, lo más cortos posible. Si bien no es la mejor forma de construir PCBs de RF (recordemos que en RF se hacen relevantes las capacitancias e inductancias parásitas, afectando el desempeño del circuito), me he tomado la libertad de hacerlo así pues está pensado en operar sólo en las «bandas bajas» de HF, como lo son 40 y 80 metros. El frecuencias más altas, el efecto de estas capacitancias e inductancias parásitas se hace muy relevante.

Foto 1. Filtro construido para mediciones.

Los inductores (bobinas) se construyen de acuerdo a las indicaciones del fabricante de los toroides (ver toroids.info), donde tenemos la siguiente relación:

Ecuación 1. Cálculo de inductancia de bobinado sobre toroide T130-2.

De la ecuación 1 podemos obtener que para un L = 1 uH, N = 9.5 vueltas. En la foto 2 vemos esta bobina lista.

Foto 2. Inductor 1uH sobre toroide T130-2, utilizado para filtro pasa bajos banda de 40 metros.

Asimismo, para la L = 2 uH, N = 13.5 vueltas. Podemos apreciar esta bobina en la foto 3.

Foto 3. Inductor 2uH sobre toroide T130-2, utilizado para filtro pasa bajos banda de 80 metros.

Estos enrollados fueron construidos con hilo esmaltado 18 AWG.

Los resultados.

Llegó la hora de la verdad… pongamos el circuito de la foto 1 al analizador de espectro con generador de tracking. En las figuras 5 y 6 tenemos los resultados de ambos filtros.

Figura 5. Respuesta de filtro para banda de 40 metros.

Para 40 metros, vemos que dentro del rango 6.990 kHz y 7.330 kHz el rizado es inferior a 1 dB, pero sin embargo observamos atenuación entre 2 y 3 dB. Esto puede deberse al tipo de condensadores utilizados y al rango de tolerancia de las componentes (especialmente los inductores, que posiblemente son de más de 1 uH). A 14.3 MHz la atenuación es del orden de 25 dB, a 21 MHz de más de 45 dB, mientras que a 28 MHz de 50 dB.

Figura 6. Respuesta de filtro para banda de 80 metros.

En el caso del filtro para 80 metros, vemos que dentro del rango 3.500 kHz y 4 MHz el rizado es inferior a 1 dB pero, al igual que en el caso anterior, observamos atenuación entre 2 y 3 dB. A 7 MHz la atenuación es del orden de 30 dB, a 10.5 MHz de más de 45 dB, mientras que a 14.5 MHz de 55 dB.

A medida que incrementamos la frecuencia, observamos que la atenuación tiende a ser menor (ver figura 7). Esto se debe a que los acoplamientos capacitivos se hacen más significativos, predominando capacitancias en serie. Para reducir este efecto, será conveniente apantallar cada etapa del filtro (por ejemplo, poniendo placas de aluminio conectadas a tierra GND entre los inductores toroidales).

Figura 7. Respuesta observada en el rango 1 kHz a 60 MHz.

A lo largo del rango de frecuencias analizado, vemos máximos y mínimos de atenuación, dejando en evidencia ciertas resonancias en el circuito.

Conclusión

La respuesta en frecuencia del circuito físico es diferente a la simulada, resultado esperable porque las componentes reales tienen valores de inductancias y capacitancias diferentes a las ideales. Por otro lado, las inductancias tienen capacitancias parásitas relevantes a la frecuencia de operación.

Otro aspecto importante es la alta atenuación del filtro dentro de las bandas de interés. Se han medido entre 2 dB y 3 dB. En otra oportunidad, quiero probar el filtro con potencia, para ver si esta atenuación se debe a los capacitores cerámicos (debieran ser de mica). Operando el filtro con unos 300W en CW, y tomando imágenes térmicas podremos descubrir dónde está la pérdida de potencia.

En resumen, está bastante bueno el filtro, pero si lo quieres construir te recomiendo utilizar capacitores de mica y apantallar los toroides entre sí.

Si lo construyes, agradecería me comentes cómo te ha funcionado.

Saludos

Emerson Sebastián

 

ACTUALIZACIÓN 22/02/2021

Por restriciones de espacio, en casa puedo operar desde la banda de 40 metros hacia arriba en forma eficiente, lo que me motiva a construir filtros para 40 y 10 metros. Para 10 metros hay un kit relativamente barato en Ebay, el que decidí adquirir, mientras que para 40 metros decidí construir un filtro con capacitores mica-plata y toroides T130-6. Los resultados de mi diseño son satisfactorios, mientras que el filtro adquirido queda un poco al debe.

Figura 8. LPF para 40 y 10 metros.

En cuanto disponga de tiempo para ello, publicaré mis resultados.

Oscilador local con DDS – Sintetizador Digital Directo

(VFO with DDS – Direct Digital Synthesizer)

En este artículo escribo sobre lo que es una verdadera maravilla tecnológica, gracias a la cual hoy podemos hacer sintetizadores de señal de gran versatilidad, precisión y estabilidad con hardware reducido. Es el llamado Sintetizador Digital Directo (o Direct Digital Synthesizer DDS).

Foto 1. Mi primera tarjeta DDS. Elaboré este header para el chip AD9852 de Analog Devices (año 2009).

Esta modalidad de síntesis de señales poco a poco ha ido reemplazando a los clásicos circuitos PLL (lazo enclavado en fase), debido a su mayor capacidad de resolución en frecuencia y fase (y también de amplitud en algunos modelos de DDS). Gracias a estas cualidades es posible construir en forma más sencilla transmisores de gran estabilidad, receptores de precisión para instrumentación y moduladores para señales complejas como QAM, entre otras cosas.

Analizaremos brevemente aspectos teóricos de su funcionamiento y finalmente veremos cómo construir uno para nuestro uso en experimentación. Al final de esta página podrás encontrar los links de descarga con toda la información necesaria para que hagas tu propio oscilador local DDS, basado en el módulo AD9850 @ 125 MHZ..

Ahora bien… ¿Cómo funciona un sintetizador digital directo? veamos un poco de teoría…

Oscilador Numéricamente Controlado (NCO)

El corazón del proceso de síntesis digital directa es el llamado Oscilador Numérico Controlado (NCO por sus siglas en inglés). A continuación describo brevemente como opera:

Vamos a la figura 1. Un registro (número) de N bits, llamado acumulador de fase, con cada ciclo de reloj se incrementa en un cierto valor que denominaremos incremento de fase TW.  El acumulador de fase puede tener un valor – expresado en números decimales – entre 0 y 2^N – 1 (2 elevado a N, menos 1).  Este incremento periódico del valor numérico contenido en el acumulador de fase hará que luego de determinada cantidad de ciclos de reloj alcance su valor máximo 2^N – 1. Mientras mayor sea el valor de TW, se requerirán menos ciclos de reloj para llegar al valor máximo del acumulador de fase, el cual una vez alcanzado hace que el valor del acumulador de fase vuelva a reiniciar a la siguiente suma de TW (siguiente ciclo de reloj).  Podemos hacer la analogía entre el acumulador de fase y el ángulo de un fasor que gira a incrementos constantes TW (ver figura 2), donde 0° es el valor numérico 0 y cuyos 360° equivalen a un valor 2^N (ver figura 2).

Figura 1. Esquema básico de un oscilador numéricamente controlado (NCO), bloque fundamental de un DDS.
Figura 2. Analogía entre el contenido del acumulador de fase de N bits (2^N puntos completan la circunferencia), y un fasor que gira a pasos de longitud TW.

Si en el bloque denominado tabla de salida (figura 1) a cada valor contenido en el acumulador de fase asociamos un determinado valor de amplitud, por ejemplo una sinusoide, tenemos un oscilador digital sinusoidal. En la práctica, a cada valor contenido en el acumulador de fase se le considera una dirección de memoria apuntada hacia los datos contenidos en la tabla de salida, y son estos datos los que contienen la amplitud de correspondería al seno (o coseno) del ángulo equivalente al número contenido en el acumulador de fase. Como los valores típicos de N van desde los 32  hasta 48 bits, si asociáramos a cada valor posible en el acumulador de fase una determinada amplitud, ¡ocuparíamos excesiva memoria!, por lo cual en la práctica se consideran sólo los P bits más significativos (usualmente 14 bits en DDS comerciales).

El valor de TW no necesariamente será un divisor exacto de 2^N, por lo cual al completar un ciclo (giro completo del fasor mostrado en la figura 2) podría no empezar en valor 0 el siguiente ciclo, sino en una fracción de TW. En esencia, cuando con la siguiente adición de TW al acumulador de fase sobrepasa la capacidad numérica de este, simplemente se ignora el bit de acarreo (overflow en inglés) y el ciclo se inicia nuevamente desde un valor numérico correspondiente a la fracción de TW que se puede expresar en N bits, contenida en el acumulador de fase.

Según sea el valor contenido en el acumulador de fase, en cada ciclo de reloj se envía al conversor digital – analógico el valor correspondiente de la tabla de salida, obteniendo a la salida de este una sinusoide cuya frecuencia es función de TW (valor que controlamos externamente), la frecuencia de reloj (fija, definida por el hardware) y N (capacidad del acumulador de fase, también definido por el hardware). La ecuación que determina la frecuencia de salida es la siguiente:

Ecuación 1. Definición de la frecuencia sintetizada en un DDS. Frecuencia máxima utilizable está definida por el límite de Nyquist. Sin embargo, en la práctica, se puede considerar un valor razonable el 30% de la frecuencia del reloj.

Notar que para obtener frecuencias múltiplos exactos de 1 Hz, es necesario que la frecuencia de reloj sea una potencia de 2 – suponiendo exacta la frecuencia de reloj -.  Sin embargo, para DDS con un acumulador de fase de 32 bits o más, la cantidad de frecuencias posibles es tan elevada que la resolución en frecuencia es muy fina (paso entre frecuencias posibles  a generar por el DDS es de orden de fracción de Hz), con lo cual aunque utilicemos relojes de frecuencias comunes (125 MHz, por ejemplo) podemos aproximarnos a la frecuencia que se desea generar con error inferior a 1 Hz, más que suficiente para cualquier aplicación práctica.

Consideraciones respecto del espectro de salida de un DDS

En la figura 3 tenemos un dibujo simplificado donde aparecen las principales componentes espurias que se generan en un DDS.

Figura 3. Dibujo simplificado donde podemos ver las principales frecuencias espurias generadas por el DDS en operación estática. Piso de ruido dado por el error de cuantización, componentes por aliasing, frecuencias armónicas y espurias por truncamiento del número de fase.

A continuación una breve descripción de cada una de ellas:

Frecuencias alias por digitalización de la señal. Por efecto alias, se observan componentes cuyas frecuencias están dadas por las sumas y restas de múltiplos de la frecuencia de muestreo (reloj fclk) y la fundamental (frecuencia de salida fout).  El principal objetivo del filtro implementado en el módulo AD9850 es filtrar estas frecuencias imágenes.

Ruido de cuantización. El proceso de conversión digital a analógica, donde se obtiene un voltaje sinusoide, al tratarse de una señal digital tenemos que existe una diferencia entre el valor entregado por el conversor y aquel que debería tener la sinusoide. Este error de cuantización está determinado por la resolución del conversor D/A (típicamente entre 10 y 14 bits para DDS comerciales). Es razonable pensar que la potencia de ruido generada por este error de cuantización se distribuye uniformemente en toda la banda definida por el límite de Nyquist (es decir, desde 0 Hz hasta la mitad de la frecuencia de reloj Fclk/2), por lo cual es posible reducir el piso de ruido si se incrementa la frecuencia de reloj. En la figura 3 se representa el piso de ruido y la relación señal a ruido determinada por el error de cuantización, suponiendo un conversor D/A de B bits y Fs = 2*fout, donde Fos es la frecuencia de sobremuestreo (Fos > Fs), es decir, frecuencia a la cual se puede incrementar el reloj del DDS. En la práctica, por ejemplo, si Fs = fclk = 80MHz, teóricamente el valor máximo de la frecuencia de salida es fout = 40MHz (definido por límite de Nyquist), pero podemos mejorar la SNR teórica que define la resolución del conversor D/A a través del uso de una frecuencia de reloj más elevada, por ejemplo, fclk = 125MHz, pues distribuiremos la potencia de ruido de cuantización en un rango mayor de frecuencias (desde 0Hz hasta 62.5MHz).  Otra forma de verlo es que, para una frecuencia de reloj fclk dada, la SNR definida por el ruido de cuantización será mayor cuando la frecuencia sintetizada fout sea mucho menor que el límite de Nyquist (fclk/2). Por ello, nos convendrá utilizar DDS con frecuencia de reloj elevada, aunque las frecuencias que queramos sintetizar sean mucho menores que la mitad de la frecuencia de reloj.

Espurias por truncamiento del número de fase.  Como indiqué en un párrafo anterior, por motivos prácticos la tabla de salida del NCO no puede contener tantos registros como valores posibles tenga el acumulador de fase (piensen que para un acumulador de N = 32 bits necesitamos varios GB, y para N = 48 bits son centenares de TB!), y por esto existe un error en la conversión entre el ángulo de salida de la sinusoide (que considera sólo los P bits más significativos) y el ángulo contenido en el acumulador de fase. Este error tiene periodicidades, las que definen frecuencias espurias. En términos prácticos, aquella componente espuria de mayor amplitud estará a -6.02P dB  de la frecuencia fundamental (fout), con típicamente P = 14 bits, y el número de incremento de fase equivalente de la mayor espuria por esta causa estará dado por el máximo común divisor entre el número de incremento de fase TW y 2^(N-P-1). Si el número de incremento de fase satisface que el máximo común divisor entre TW y 2^(A-P) es 2^(A-P), entonces no hay espurias por truncamiento de fase, ya que el error de ángulo sería constante en todo el ciclo (no hay periodicidad, por lo tanto no hay frecuencias asociadas).

No linealidades del conversor digital – análogo. Es imposible construir un conversor ideal, por lo que siempre – al igual que en los amplificadores – existirán componentes armónicas de la fundamental causadas por no linealidades en el proceso de conversión. En ciertas oportunidades pueden ser beneficiosas, pues las frecuencias armónicas son múltiplos de la frecuencia de salida, las que pueden ser utilizadas por la electrónica. Un buen ejemplo de aquello es la Application Note AN-543, donde se utilizan las componentes armónicas provenientes de un AD9850 (con frecuencia de reloj de 125 MHz, por lo tanto, con una frecuencia de salida teórica máxima de 62.5 MHz -límite de Nyquist-) para implementar un transmisor para radiodifusión sonora modulado digitalmente (que opera entre 88 y 108 MHz).

Jitter. A variaciones instantáneas de la frecuencia se le denomina «jitter», y en un DDS puede ser causado por el jitter propio del generador de reloj (por ello es importante utilizar aquellos que sean muy estables), por circuitos PLL de reloj (típicamente los DDS con frecuencias de reloj muy elevadas suelen utilizar circuitos PLL para multiplicar la frecuencia de reloj de entrada, generando jitter y ruido de fase). También se puede ocasionar por acoplamiento entre las pistas del circuito de reloj y fuentes externas de RF, o bien por ruido térmico.

En fin… como todo circuito electrónico, especialmente si conjuga señales analógicas y digitales, tenemos diversas causas que pueden generar componentes espurias. Lo ideal es asegurarse de construir un hardware debidamente protegido de las EMI, y tener una señal de salida que aproveche toda la escala del conversor D/A con una frecuencia muy inferior al límite de Nyquist (fclk/2).

Ahora vamos a encender el cautín… construyamos nuestro propio oscilador local con un sintetizador digital directo, basado en AD9850 de Analog Devices.

Este proyecto está basado en el módulo DDS AD9850 con reloj de 125 MHz, disponible por Ebay y Amazon. Puedes trabajar con instrumentos básicos (multímetro), una radio MF/HF y/o un SDR (como el famoso RTL) para «escuchar» las frecuencias que sintetizas. Aquí muestro mediciones con instrumentos debidamente calibrados (excepto el frecuencímetro del osciloscopio… no le crean… lee bien hasta 3 MHz… el analizador de espectro es mucho más confiable) con el objetivo que puedas visualizar los resultados que obtendrás.

Bueno… vamos al circuito:

Figura 4. Esquemático con circuito para implementar oscilador local basado en el módulo DDS AD9850 @ 125MHz. Utiliza un microcontrolador PIC16F877A con un cristal de 4MHz y display LCD de 16×2 caracteres.

La tarjeta basada en PIC tiene muchos años… está reciclada de un proyecto que desarrollé en tiempos remotos. Si bien los microcontroladores PIC de la serie 16 son bastante «vintage» a estas alturas del partido, de vez en cuando los utilizo porque son muy confiables. Unos colegas me contaron que incluso algunos CubeSat que andan orbitando por la ionósfera tienen microcontroladores PIC en su interior 🙂 – aunque series más modernas -.

Figura 5. Módulo DDS con AD9850 y reloj de 125 MHz. Fuente: EIModule.
Figura 6. Pines del módulo AD9850. Fuente: EIModule. Los pines D0 y D1 deben conectarse a +5VDC, mientras que RESET, D2, D3, D4, D5  y D6 a GND. D7 se usará como pin DATA (es el mismo pin que se indica como DATA). Los pines que van al microcontrolador son W_CLK, FQ_UD y DATA, además de +5VDC y GND que son comunes. Las salidas son SINE OUTPUT (1 con filtro anti-alias, 2 sin filtro) y SQUARE OUTPUT (1 y 2, complementarias).
Foto 2. Podemos ver el módulo DDS AD9850 con su reloj de 125MHz, tarjeta con el PIC16F877A, regulador lineal 7805 (con disipador térmico), panel frontal con LCD 16×2, switch de encendido y botones de control.
Foto 3. Módulo AD9850. El potenciómetro permite el ajuste del voltaje de referencia que ocupa el comparador para generar señales cuadradas de 5Vpp, comparando esta referencia con la salida sinusoidal. Moviendo ese potenciómetro, puedes ajustar el ciclo de trabajo de esta señal, muy útil para excitar, por ejemplo, un amplificador de RF clase E.

Y luego de mucho ensayo – error en la programación del PIC, tenemos los resultados que se muestran a continuación:

Formas de onda:

Figura 7. Formas de onda Fo = 1 kHz
Figura 8. Formas de onda a Fo = 1 MHz, onda media. La onda cuadrada está perfecta para excitar un amplificador clase E para radiodifusión sonora en amplitud modulada.
Figura 9. Formas de onda a Fo = 3.6 MHz, banda de 80 metros. Nótese la alta calidad del comparador. Insisto….. es perfecto para implementar transmisores con etapas clase E.
Figura 10. Formas de onda a Fo = 7.15 MHz, banda de 40 metros.
Figura 11. Forma de onda para diferentes frecuencias generadas por DDS, manteniendo escala de tiempo y amplitud constantes en todas las mediciones. Nótese como se reduce la amplitud a medida que se incrementa la frecuencia.

Espectro de salida:

Figura 12. Espectro de salida con Fo = 40 MHz y sin filtro. Aquí podemos ver claramente frecuencias alias y también armónicas.
Figura 13. Espectro de salida a Fo = 40 MHz y con filtro. Observamos una importante reducción en las componentes espurias, especialmente en frecuencias generadas por efecto alias. Es poco efectivo filtrando componentes armónicas.
Figura 14. Espectro a 1 MHz. Contrario a lo que esperaba, a baja frecuencia (es sólo 1 MHz) tenemos bastantes espurias, algunas de las cuales están por sobre los -40 dBc. Supongo se debe a ruidos externos provenientes, por ejemplo, de conmutaciones digitales de aparatos del entorno o el microcontrolador, o de las potentes emisoras broadcast que hay cerca de mi casa. Es algo que voy a investigar con calma. Sin embargo, a esta frecuencia el DDS sigue siendo una excelente opción para amplificadores conmutados, como los utilizados en transmisores de alta eficiencia para radiodifusión en AM. El analizador de espectro se configuró para que marcara la frecuencia donde estuviera la intensidad peak… nótese la altísima precisión del generador DDS. ACTUALIZACIÓN: Las espurias no identificadas corresponden a portadoras de radioemisoras AM.
Figura 15. Espectro a 3.7 MHz. Espectro bastante limpio, por lo cual este oscilador es adecuado para utilizarse en equipos con receptores heterodinos y amplificadores lineales. Ejemplo con fundamental en la banda de 80 metros.
Figura 16. Espectro a 7.1 MHz. Al igual que en la figura anterior, espectro bastante limpio, por lo cual este oscilador es adecuado para utilizarse en equipos con receptores heterodinos y amplificadores lineales. Ejemplo con fundamental en la banda de 40 metros.

Mi intención es probar este sintetizador como oscilador local para un transceptor SSB en kit que tengo ensamblado, pero actualmente en formato OFV con polivaricon. Es el Iler 40. Una maravilla que necesita de un buen OFV.

Comportamiento energético y térmico…

Luego de pruebas en diferentes frecuencias, noté que cuando generaba un fout mayor a 20MHz, el DDS se calentaba a temperatura sensible al tacto. Por ello, luego de dejar apagado el dispositivo unos minutos hasta que alcanzara temperatura ambiente, lo encendí con fout = 40MHz y, al minuto de operación y temperatura ambiente de 18°C, el AD9850 alcanzó una temperatura de 41.28°C. Por ello, estimo recomendable utilizar disipador térmico. Me parece buena opción ocupar estos que hay que el comercio para los chips del Raspberry Pi (esos que tienen un autoadhesivo para pegarse al chip).

Foto 4. Imagen térmica donde se aprecia el chip DDS a 41°C.

Como observamos en la figura 11, a medida que incrementamos la frecuencia de salida, tenemos que la amplitud de la señal va disminuyendo. Algo diferente ocurre con el consumo de corriente. El circuito completo, es decir, DDS + reloj+ microcontrolador + display, operando a 1kHz consume 170mA aprox., a 1MHz se eleva a 190mA, y a 40MHz llegamos a los 210mA. Como no tenemos un incremento en la potencia de salida del DDS (de hecho, es al revés), no nos queda otra opción que la potencia adicional que consume el DDS se debe estar disipando en forma de calor. Por ello, me parece muy necesario poner aunque sea un pequeño disipador, sobre todo si la temperatura ambiente de donde se utiliza este oscilador es alta… y vamos que con el calentamiento global quizás a qué extremo vamos a llegar.

Algunas aplicaciones de esta tecnología pueden ser:

  • Generadores de señal con modulaciones complejas, especialmente en DDS que permiten incorporar información en la amplitud. Un buen ejercicio sería implementar hardware donde se conecte un DDS con sus pines de programación paralela a una FPGA, de modo que podamos implementar circuitos de refresco de frecuencia, fase y amplitud de alta velocidad. Un circuito como este servirá para muchas cosas. ¡interesante futuro proyecto!.
  • Oscilador local en transmisores y/o receptores de radio. Ideal para equipos de banda lateral única (SSB).
  • Sintetizadores de audiofrecuencia de alta precisión.
  • Instrumentos de medición, como analizadores de espectro y analizadores de antenas.
  • Receptores de alta precisión para radioastronomía. Gracias a la gran cantidad de canales posibles, son una buena alternativa para construir receptores heterodinos que permitan hacer análisis de corrimientos de frecuencia por efecto Doppler para velocidades relativamente bajas. Con buenos filtros de frecuencia intermedia, podemos observar desplazamientos muy pequeños, del orden de los Hz.

¿Quieres construir tu oscilador local con DDS AD9850?:

Así quedó mi primer prototipo. En los links de descarga puedes encontrar la información necesaria para replicarlo.

Foto 5. Panel frontal de mi prototipo de sintetizador DDS con AD9850. Si quieres construirlo, te sugiero seguir esta misma distribución de display y botones. Queda muy cómodo para manipular.

Para minimizar espurias en la señal de salida, recomiendo montar la electrónica en una caja metálica a tierra y protegerla de RF producida en etapas de potencia y/o aquellas con conmutaciones digitales de alta velocidad. No olvides poner disipador térmico al DDS!!.

Links de descargas:

Saludos y excelente semana,

Emerson Sebastián

El transmisor FM de Radio Prisma (Experimental)

(My simple, cheap and old homebrew FM transmitter)

Era el año 2001. Cursaba mi 3er año de enseñanza media. Con muchas ganas de poner una radioemisora en Guacarhue, no tenía equipos de transmisión ni mucho menos la autorización del ente regulador. Decidí intentarlo, construyendo por mi mismo lo que necesitaba y luego hacer las gestiones para obtener la concesión de radio comunitaria (cosa que no hice pues era menor de edad).

Utilizando materiales de desecho (radios viejas), y la valiosa orientación de don Juan Guzmán CE4YE (radioaficionado de San Vicente de Tagua Tagua), logré  construir mi primer kit transmisor FM artesanal. Incluía el transmisor como tal, una pequeña mezcladora de audio, antena (dipolo vertical montado sobre un palo de eucaliptus de unos 6 metros de altura) y su respectiva línea de transmisión (cable coaxial RG-59 de 75 Ohmios, fácil de conseguir, barato y adaptado en impedancia del dipolo), un micrófono electret (puesto en una carcasa de micrófono dinámico, para darle un aspecto “profesional”) y un “personal stereo” (¡ochentero!) desde el cual sacábamos el audio de la música (con los clásicos cassettes). Aprovechamos a concho la muy bien nutrida colección musical de mi hermano Hugo, sacando al aire clásicos del rock progresivo como Jethro Tull y King Crimson. El primer tema sacado al aire fue “cover my eyes” de Marrillion.

Estuvimos poco más de un mes al aire, sin fines de lucro y con todo el personal trabajando en forma voluntaria – éramos tod@s adolescentes sin grandes obligaciones financieras -. El estudio estaba en el patio de la casa de mis padres, y se llenaba de gente casi todos los días.  Los perros, gatos y gallinas también salían al aire de vez en cuando, pues el micrófono electret era muy sensible. Con los 200mW o 300mW de potencia y nuestra antena dipolo sobre el mástil de eucaliptus, cubríamos con calidad aceptable todo el pueblo. Sin embargo, al no contar con la autorización para operar (concesión), nuestra radioemisora fue clausurada por la autoridad. Se sorprendieron al conocer nuestras artesanales instalaciones.

Si bien no tengo un registro de aquella época, construí varias copias del transmisor y gracias a ello recuerdo muy bien la electrónica. Estaba basado en los transistores BJT – NPN 2N3904, de uso general, encapsulado TO-92, y contaba con 3 etapas: oscilador controlado por voltaje, amplificador de señal y amplificador de potencia, las que describiré brevemente a continuación.

Circuito utilizado como transmisor FM en Radio Prisma de Guacarhue. Sólo para uso experimental. Haz click en la imagen para ver detalles.

Q1 – oscilador controlado por voltaje. Es un amplificador en configuración base – común, realimentado por el capacitor C6 desde colector a emisor. El circuito resonante paralelo define la frecuencia de oscilación (formado por L1, C4, C5 y D1, además de capacitancias parásitas del PCB y Q1). Si el circuito se instala apantallado, con alimentación muy bien regulada y filtrada, la frecuencia de oscilación se mantiene aceptablemente estable. Operacionalmente, con el capacitor variable C4 se define la frecuencia de oscilación, y con el resistor variable R5 se hace la sintonía fina (y también sirve para hacer las correcciones de frecuencia en caso de corrimientos). Para modular en frecuencia, se aplica el audio – junto a la componente DC de sintonía fina – polarizando inversamente el diodo varicap. Dependiendo de la posición de R5, se debe ajustar el nivel de audio con que se modula.

Q2 – amplificador de señal. La función de este amplificador en configuración emisor – común es conectar adecuadamente la etapa osciladora (con una muy alta impedancia de salida) con el amplificador de potencia (impendancia de entrada varios órdenes de magnitud mas baja). El sentido común dice que debiéramos poner un capacitor en paralelo a R10 para llevar a masa el emisor de Q2 y así desacoplar de la carga dicha resistencia, y por lo mismo así era originalmente el circuito. No obstante, al hacer esto se reduce significativamente la impedancia de entrada de esta etapa amplificadora, afectando la estabilidad del oscilador. De casualidad, noté que esta configuación (sin un condensador en paralelo con R10) anda bastante bien. Para otra oportunidad en que construya el circuito, he pensado en probar una configuración colector – común (o seguidor de emisor).

Q3 – amplificador final de potencia. Esta etapa, en configuración emisor – común, cuenta con un circuito adaptador de impedancia de entrada (red L conformada por C12 y L5) y de salida (red formada por L6, C19, C18 y C20). R14 estabiliza térmicamente Q3, pues ante incrementos de la corriente de emisor (ocasionados por el aumento de la temperatura), permite reducir el voltaje base-emisor y con ello la corriente de base, y por lo mismo la corriente de colector y emisor. C16 desacopla de la carga a R14, maximizando la potencia de salida. C19 y C20 se ajustan para adaptar adecuadamente la impedancia de salida del amplificador a la línea de transmisión (cable coaxial de 75 Ohmios en nuestro caso – cable RG-59 -).

Comenarios sobre la construcción del PCB: cuando trabajamos en alta frecuencia, especialmente desde VHF hacia arriba (30 MHz o más), se vuelve crítico el adecuado diseño del circuito impreso, pues las capacitancias e inductancias parásitas pueden influir fuertemente en cómo funcionará la electrónica. Como en este caso los inductores y capacitores están hechos con componentes discretas, conviene reducir las pistas en el PCB que conectan los puntos «calientes» del circuito (aquellas con señal, no sólo polarización) a su mínima expresión, y privilegiando el uso de planos de tierra amplios.

Si bien este transmisor, tal cual está planteado aquí, no es apto para su uso en radioemisoras; y además los transmisores profesionales están cada vez más baratos, muestro este circuito sólo para fines lúdicos y experimentales. Es un proyecto entretenido, barato y que en su época me dió muchas satisfacciones.

Eso sería por el momento, respecto de este circuito.

Saludos y buen fin de semana,

Emerson Sebastián

Actualización 04/10/2020

¡Encontré una copia de este circuito!, la que construí seguramente el año 2001 o 2002. Estaba entre un montón de cachureos para llevar al reciclaje, en una caja olvidada en casa de mis padres.

Fotografía 1. Una de las copias que hice de mi transmisor de FM. Data del año 2001 aprox.
Fotografía 2. El reverso.

 

Fotografía 3. Codificador estéreo en base al BA1404. No recuerdo si alguna vez funcionó.

Así de simple era el transmisor de Radio Prisma FM 90.5 MHz de Guacarhue, pueblo de tesoros escondidos. Lo pasamos bien.

Saludos

Emerson

Antena muy simple para 10 y 11 metros (CB)

(Simple antenna for 10 and 11 meters)

En este post quiero compartir el diseño de una antena base muy simple, económica y efectiva para las bandas de 11 y 10 metros, polarización vertical, la que construí como solución temporal a mi necesidad de radio en estas bandas. Es una muy buena alternativa para quienes tenemos poco espacio en casa, donde no es posible instalar antenas con radiales hacia los costados o antenas horizontales. Es la llamada “Antena T2LT”.

Básicamente, es un dipolo polarizado verticalmente. El irradiante superior lo hice con una línea bifilar de 300 ohmios cortocircuitada en ambos extremos, con el objetivo de simular un único conductor de mayor diámetro (lo que influye en el ancho de banda de la antena). Se conecta sólo al conductor interno de la línea coaxial. Como brazo inferior de la antena se utiliza la malla exterior de la línea coaxial de bajada, la que termina en un choque de RF (balún de corriente 1:1 o filtro de modo común), hecho de 5 espiras bien apretadas con el mismo cable coaxial de bajada (RG-58) sobre una forma de 11 cm de diámetro.

Esquema de antena T2LT.

El choque de RF hace las veces de aislación entre el extremo inferior de la antena y la línea coaxial de bajada. Esta cantidad de espiras juntas maximiza la impedancia equivalente en modo común en este punto, es decir, la inductancia de las espiras y la capacitancia entre espiras forman un circuito resonante paralelo cuya impedancia es muy elevada en torno a los 27 MHz. Lo he comprobado, pues la línea coaxial de bajada no presenta corrientes en modo común evidentes, lo que mejora el rendimiento y a la vez reduce la captación de ruidos en su recorrido hacia el transceptor.

Las medida del irradiante y del tramo coaxial que funciona como brazo inferior del dipolo que utilizo es L = 2.58 mts c/u, lo que me entrega excelente R.O.E. en todos los canales CB y por debajo de 1.5 hasta aproximadamente 27.6 MHz, facilitando su uso en los canales aéreos. El montaje lo hice sobre un mástil de fibra de vidrio, pues es muy buen aislante y presenta baja pérdida como dieléctrico a la frecuencia de operación. Pueden probar con un soporte diferente (más barato) incluso de madera, donde recomendaría separarla unos centímetros de los conductores que conforman el dipolo.

Implementación de mi antena T2LT para 10 y 11 metros.

Noto que cuando la humedad relativa del aire está elevada (sobre 80%, cuando llueve), la frecuencia de resonancia de la antena disminuye, por lo cual se eleva la R.O.E. en los canales aéreos. Es cuestión de jugar con la longitud de los brazos del dipolo hasta obtener el que mejor se acomode a la realidad de cada usuario e instalación en particular.

Saludos!! (o 73´s, como se dice en radio)

Emerson Sebastián